«decidability» 태그된 질문


3
두 함수가 같은 확장인지 계산할 수 있습니까?
다른 정렬 알고리즘을 구현하는 두 개의 함수가있는 경우 소스 코드를 통해 둘 다 동일한 외부 특성을 갖는 것으로 추론 할 수 있습니까? 둘 다 가능한 정렬되지 않은 시퀀스를 입력으로하고 정렬 된 시퀀스를 출력으로한다는 것을 의미합니까? 이러한 외부 속성은 소스 코드에 의해 어떤 방식으로 결정될 수 있습니까? 이러한 외부 속성을 어떻게 …
당사 사이트를 사용함과 동시에 당사의 쿠키 정책개인정보 보호정책을 읽고 이해하였음을 인정하는 것으로 간주합니다.
Licensed under cc by-sa 3.0 with attribution required.