답변:
Ubuntu와 호환되는 SynpatiCAD의 Linux Verilog 시뮬레이터 를 다운로드 할 수 있습니다 . 명령 행 시뮬레이터와 그래픽 IDE가 포함되어 있습니다. 설치 후 도구를 실행하고 시뮬레이터에 대한 6 개월 무료 라이센스를 요청할 수 있습니다.
이 게시물이 도움이됩니까 : Linux (Ubuntu)의 Verilog 및 VHDL ?
Verilog 시뮬레이션 에는 Icarus Verilog ( iverilog
리포지토리), VHDL 시뮬레이션에는 GHDL , 파형보기에는 GTKWave ( gtkwave
리포지토리)를 함께 사용하는 것이 좋습니다 .
FPGA 개발을 위해 IDE 환경을 사용할 수도 있습니다. Altera (Intel) FPGA 용 Altera Quartus 또는 Xilinx 장치 용 Xilinx ISE. 이러한 환경에서는 VHDL 및 Verilog 소스 코드를 작성하고 시뮬레이터를 포함 할 수 있습니다.
sudo apt-get install iverilog;
sudo apt-get install gtkwave;
모든 것이 올바르게 작동하는지 확인하려면 hello-world of verilog를 수행하십시오.
nano hello.v
다음 hello-world 코드를 작성하십시오.
module main;
initial
begin
$display("Hello world");
$finish;
end
endmodule
그런 다음 컴파일
iverilog hello.v -o hello
마지막으로 코드를 실행하십시오.
vvp hello
터미널 창에서이 명령을 시도하십시오 :
sudo apt-get install gplcver