우분투에서 Verilog HDL을 사용하는 방법?


8

Verilog Hdl 에서 몇 가지 예제로 작은 과정을 시작하려고 시도했으며 몇 가지 예제 로 연습 하기 위해 최고의 시뮬레이터 또는 IDE 를 검색하는 데 많은 시간을 소비 한 후 Ubuntu 12.04에서 사용하는 방법을 찾지 못했습니다.

그래서 두 가지 질문이 있습니다.

  1. Ubuntu 12.04에서 Verilog를 어떻게 사용합니까?

  2. Linux에서 사용할 수있는 최고의 시뮬레이터 (또는 IDE)는 무엇입니까?

답변:


3

Ubuntu와 호환되는 SynpatiCAD의 Linux Verilog 시뮬레이터 를 다운로드 할 수 있습니다 . 명령 행 시뮬레이터와 그래픽 IDE가 포함되어 있습니다. 설치 후 도구를 실행하고 시뮬레이터에 대한 6 개월 무료 라이센스를 요청할 수 있습니다.


5

이 게시물이 도움이됩니까 : Linux (Ubuntu)의 Verilog 및 VHDL ?

Verilog 시뮬레이션 에는 Icarus Verilog ( iverilog리포지토리), VHDL 시뮬레이션에는 GHDL , 파형보기에는 GTKWave ( gtkwave리포지토리)를 함께 사용하는 것이 좋습니다 .

Eclipse 기반 Verilog 편집기가 필요한 경우 veditor를 시도 하십시오 .


그러나 Veditor가 열리지 않습니다. JDK6으로 열었고 응답이 없거나 다른 방법이 있습니다
amrro

독립형 제품이 아니라 일식 플러그인입니다. 이클립스를 설치, 여기에 지침을 따르십시오 : sourceforge.net/apps/mediawiki/veditor/...
톰 레그 너

좋아, 나는 또 다른 호의를 원한다, 당신은 icarus verilog를 설치하는 방법에 의해 당신의 대답을 업데이트 할 수 있습니까, ican는 그것을 설치하지 않습니다
amrro

ghdl 오류가 발생했습니다 ... 패키지 ghdl을 사용할 수 없지만 다른 패키지에서 참조합니다. 이는 패키지가 누락되었거나 폐기되었거나 다른 소스에서만 제공됨을 의미 할 수 있습니다. E : 패키지 'ghdl'에 설치 후보가 없습니다.
amrro

Ah-found mail.gna.org/public/ghdl-discuss/2012-04/msg00017.html ; 빌드 문제로 인해 ghdl은 데비안 ATM에서 삭제되었으며 12.04 현재 우분투에서도 발생합니다. 당신은 그것을 스스로 컴파일하고 (checkinstall을 통해 그것을 설치하려고) 할 수 있습니다. 그러나 그것을 통해 이야기 / 쓰기는 내 시간이 허락하는 것 이상입니다
Tom Regner

1

FPGA 개발을 위해 IDE 환경을 사용할 수도 있습니다. Altera (Intel) FPGA 용 Altera Quartus 또는 Xilinx 장치 용 Xilinx ISE. 이러한 환경에서는 VHDL 및 Verilog 소스 코드를 작성하고 시뮬레이터를 포함 할 수 있습니다.


Verilog 코드를 실제 FPGA에 다운로드하려면 장치를 인식하기 위해 udev 규칙을 편집해야하지만, 충분한 IDE 인 Quartus Prime을 개인적으로 사용하고 있습니다.
Sergiy Kolodyazhnyy

1
sudo apt-get install iverilog;

sudo apt-get install gtkwave;

모든 것이 올바르게 작동하는지 확인하려면 hello-world of verilog를 수행하십시오.

nano hello.v

다음 hello-world 코드를 작성하십시오.

    module main;

initial
    begin
    $display("Hello world");
    $finish;
    end

endmodule

그런 다음 컴파일

iverilog hello.v -o hello

마지막으로 코드를 실행하십시오.

vvp hello

-1

터미널 창에서이 명령을 시도하십시오 :

sudo apt-get install gplcver

이것은 질문에 대답하지 않습니다. 답을 정교하게 작성하십시오. gplcver 란 무엇입니까? 사용 방법?
Eric Carvalho
당사 사이트를 사용함과 동시에 당사의 쿠키 정책개인정보 보호정책을 읽고 이해하였음을 인정하는 것으로 간주합니다.
Licensed under cc by-sa 3.0 with attribution required.