VHDL 소스 코드의 내부 신호를 테스트 벤치로 가져 와서 파형으로 볼 수있는 방법은 무엇입니까? Active HDL을 사용합니다. 내 목표를 달성하는 도구 독립적 인 방법이 있는지 알고 싶습니다. 도움을 주시면 감사하겠습니다.
지금이 오류가 발생합니다.
내 소스 코드는
entity SPI_DAC is
Port (
-- inputs and oututs
)
end SPI_DAC;
architecture Behavioral of SPI_DAC is
--These are my internal signals
signal ch1_byte_data_sent : STD_LOGIC_VECTOR(23 downto 0) := x"000000";
signal ch1_byte_cmd_sent : STD_LOGIC_VECTOR(23 downto 0) := x"000000";
--and a few other signals
begin
--functionality
end Behavioral;
내 테스트 벤치 코드는
entity tb_spi_dac is
end tb_spi_dac;
architecture behavioral of tb_spi_dac is
component spi_dac
port(
--declaration, inputs and outputs
);
end component;
begin
uut: spi_dac port map(
--map ports
);
--stimulus process
end;
.tp_spi_dac.uut.ch1_byte_data_sent
.