«xilinx» 태그된 질문

FPGA (Field Programmable Gate Arrays) 및 CPLD (Complex Programmable Logic Devices)의 인기있는 제조업체입니다.

8
FPGA를 선택하는 방법?
잠김 . 이 질문과 주제는 주제가 다르지만 역사적 의미가 있기 때문에이 질문과 답변은 잠겨 있습니다. 현재 새로운 답변이나 상호 작용을받지 않습니다. 10kHz에서 8 개의 아날로그 라인에서 디지털 신호 처리를 수행해야합니다. 이것은 매우 까다로운 작업이며 FPGA가 올바른 접근 방법이라고 생각했습니다. 현재 Xilinx의 개발 키트를보고 있는데 FPGA에 대한 경험이 없기 때문에 올바른 …

8
VHDL을 배우는 프로젝트
저는 EE 학생이며 손가락보다 더 많은 언어로 [적어도 간단한] 프로그램을 작성할 수 있습니다. 방금 VHDL을 배우기 시작했고 언어와 관련 도구를 실제로 배우는 좋은 프로젝트가 무엇인지 궁금했습니다. 나에게 정말 다른 스타일의 프로그래밍이기 때문에 하나를 생각해내는 데 어려움이 있습니다. 나는 가산기와 같은 간단한 것들을 만들었지 만 더 장기적인 (즉 한 달 정도) …
16 fpga  xilinx  vhdl 

4
자일링스 파일 접미사 목록 (ISE 용)
Xilinx에 그러한 목록을 요청했지만 완전한 목록이 없습니다. 모든 입력 파일이 소스 제어에 있고 모든 출력 파일이 아닌지 확인하고 싶습니다. 이것은 ISE 및 PlanAhead가있는 13.1-13.2입니다. 이들이 제공하는 정보 중 일부는 여기 에서 소스 파일 목록 인 명령 행 도구 사용자 안내서의 PAR 출력 파일 목록과 ISE 디자인 스위트 파일 목록입니다 . …
15 xilinx  ise  planahead 

4
BJT 트랜지스터는 포화 상태에서 어떻게 작동합니까?
이것이 NPN BJT (Bipolar Junction Transistors)에 대해 내가 아는 것입니다. 베이스-이미 터 전류는 콜렉터-이미 터에서 HFE 시간으로 증폭되어 Ice = Ibe * HFE VbeBase-Emitter 사이의 전압이며 다른 다이오드와 마찬가지로 일반적으로 약 0,65V입니다. Vec그래도 기억이 나지 않습니다 . 경우 Vbe최소 임계 값보다 낮은, 그 트랜지스터는 열려 있지 그 접촉 부분을 통해 …

1
FPGA의 차동 I / O 핀을 고속 비교기로 사용할 수 있습니까?
고속 비교기는 다소 비싸고 속도는 FPGA가 매우 잘하는 것입니다. 다른 한편으로, FPGA (제 경우 : XC3S400)는 각 뱅크에 전압이 비교되는 (차단 히 생각합니다!) 각 쌍의 차동 핀을 가지고 있습니다. 또한 비교기로 작동 할 수있는 단일 종단 표준에 대한 Vref 가 있습니다. 차동 I / O 페어 핀 을 비교기로 사용할 …

4
Xilinx Vivado와 함께 SVN을 사용하십니까?
방금 새 프로젝트에서 Vivado를 사용한다고 말하고 SVN 아래에 프로젝트 파일을 넣고 싶습니다. Vivado는 프로젝트 이름 (예 : proj1)으로 모든 프로젝트 파일을 생성하는 것 같습니다. /<path to the project>/proj1/ proj1.xpr proj1.srcs/ constrs_1/ new/ const1.xdc proj1.runs/ proj1.data/ proj1.cache/ 내 질문은 XDC 및 XPR 파일 이외의 SVN에 배치 해야하는 파일은 무엇입니까?
13 fpga  xilinx 

4
FPGA 펌웨어 디자인 : 너무 큰가?
matlab에서 VHDL로 포팅 해야하는 특히 큰 신호 처리 변환이 있습니다. 확실히 어떤 종류의 리소스 공유가 필요합니다. 약간의 계산으로 다음을 얻었습니다. 64 포인트 512 fft 41210 곱하기 추가 연산 가장 큰 Virtex 6 FPGA에는 ~ 2000 DSP48E 블록이 있다고 생각하면 리소스를 여러 번 재사용하기 위해 리소스를 공유 할 수 있다는 것을 …
13 fpga  vhdl  xilinx 

3
가장 많은 리소스와 영역을 사용하는 FPGA 디자인 영역을 식별하는 방법은 무엇입니까?
저는 큰 FPGA 디자인을 연구하고 있으며 현재 사용중인 FPGA의 리소스 한계 인 CSG225 패키지의 Xilinx LX16에 매우 가깝습니다. 디자인도 거의 완료되었지만 현재는 FPGA에 더 이상 맞지 않습니다. 적합하도록 부품을 끌 수 있지만 설계를 완료하고 타이밍 및 크기 요구 사항을 충족 시키려면 리소스 사용량을 줄여야합니다. 내 디자인에서 가장 많은 리소스를 소비하는 …

3
FPGA, 첫 단계
음이 이상 FPGA에 내 질문의 연속이다 여기가 . 마지막으로 Spartan 6 FPGA 로 Digilent Atlys 를 선택했습니다 . 마이크로 컨트롤러로 약간의 작업을 수행했지만 FPGA에 대한 사전 경험이 없습니다. 지난 며칠 동안 FPGA의 데이터 시트를 읽었으며 Verilog를 시작하는 것이 좋은 선택이라고 생각합니다. 나는 코드 예제를 찾을 수 없었고 심지어 데이터 시트조차 …
11 fpga  xilinx  spartan 

4
FPGA : 카운트 업 또는 카운트 다운?
FPGA (vhdl을 사용하여 xilinx spartan3e가있는 Papilio 개발 보드)를 사용하는 방법을 배우고 있습니다. 들어오는 펄스를 (하드 코딩 된) 숫자로 나눌 필요가 있습니다. 의사 코드로 대략 3 가지 옵션을 볼 수 있습니다 (예 : 10 카운트 사용). 입력 상승 에지가 1 증가하면 0으로 초기화하고 10과 비교하십시오. 동일하면 0으로 재설정하고 출력 펄스를 트리거 …
11 fpga  vhdl  xilinx  papilio 

2
FPGA에서 "하프 래치"란 무엇입니까?
방사선 하드 FPGA에 관한 논문에서 나는이 문장을 보았습니다. "버텍스 장치에 관한 또 다른 문제는 하프 래치입니다. 로직을 사용하는 것보다 더 효율적이기 때문에 내부 상수를 위해 이러한 장치 내에서 하프 래치가 사용되는 경우가 있습니다". "하프 래치"라는 FPGA 디바이스 프리미티브에 대해 들어 본 적이 없습니다. 내가 이해하는 한, 백엔드 도구에서 상수 '0'또는 …
10 fpga  vhdl  xilinx  radiation 

1
FPGA에 라우팅 리소스가 부족합니까?
나는 두통을주는 Artix-7 장치를 제외하고 거의 모든 종류의 Xilinx 7 시리즈 장치에서 작동하는 직렬 ATA 컨트롤러 디자인을 가지고 있습니다 ... 순수한 Artix (SATA 6.0Gb / s, 150 MHz 디자인 클럭)는 Artix-7 200T에서 구현 될 수 있습니다. ILA 코어 (이전의 ChipScope)를 추가하면 타이밍이 충족되지 않습니다. 내가 긴장을 풀었던 상황 :-각 ILA …

1
합성 된 ROM 코어로 간단한 테스트 벤치 시뮬레이션
저는 FPGA의 세계에 완전히 익숙하지 않아서 매우 간단한 프로젝트 인 4 비트 7 세그먼트 디코더로 시작할 것이라고 생각했습니다. 첫 번째 버전은 VHDL로 순수하게 작성되었으며 (기본적으로 단일 조합 select이며 시계가 필요하지 않음) 작동하는 것 같지만 Xilinx ISE의 ​​"IP Cores"를 실험하고 싶습니다. 지금은 "ISE Project Explorer"GUI를 사용하고 있으며 ROM 코어로 새 프로젝트를 …
당사 사이트를 사용함과 동시에 당사의 쿠키 정책개인정보 보호정책을 읽고 이해하였음을 인정하는 것으로 간주합니다.
Licensed under cc by-sa 3.0 with attribution required.