«vhdl» 태그된 질문

VHDL (VHSIC (Very High Speed ​​Integrated Circuit) 하드웨어 설명 언어)은 전자 프로그래밍 자동화에서 필드 프로그래밍 가능 게이트 어레이 및 집적 회로와 같은 디지털 시스템을 설명하고 설계하는 데 사용되는 하드웨어 설명 언어입니다.

8
VHDL을 배우는 프로젝트
저는 EE 학생이며 손가락보다 더 많은 언어로 [적어도 간단한] 프로그램을 작성할 수 있습니다. 방금 VHDL을 배우기 시작했고 언어와 관련 도구를 실제로 배우는 좋은 프로젝트가 무엇인지 궁금했습니다. 나에게 정말 다른 스타일의 프로그래밍이기 때문에 하나를 생각해내는 데 어려움이 있습니다. 나는 가산기와 같은 간단한 것들을 만들었지 만 더 장기적인 (즉 한 달 정도) …
16 fpga  xilinx  vhdl 

7
테스트와 검증의 차이점은 무엇입니까?
내가 본 모든 교과서는 테스트 와 검증 이 서로 다른 개념 이라는 사실을 크게 강조합니다 . 그러나 그들 중 어느 것도 분명한 (또는 마침내 나에게 충분히 명확한) 구별을 제공하지 않습니다. 컨텍스트를 제공하기 위해 하드웨어 디자인 언어 (HDL)를 사용하여 디지털 하드웨어 디자인을 검증하는 데 관심이 있습니다. "물리적"또는 "실제적인"차이에 의존하는 몇 가지 …

3
VHDL : 아키텍처 명명 및 해석
참고 : Xilinx의 ISE를 사용하고 있으며 스위치 및 조명 등을 사용할 수있는 FPGA 보드가 있으며 지금까지 간단한 프로젝트를 해킹했습니다. 동시에 나는 내가하고있는 일에 대한 기초를 구축하기 위해 여러 자습서를 읽고 있습니다. 필자가 겪은 참조 자료에 언급 된 다양한 엔티티와 해당 아키텍처를 보았지만 종종 이름이 혼동됩니다. 종종 "architecture rtl of .."또는 …

4
BJT 트랜지스터는 포화 상태에서 어떻게 작동합니까?
이것이 NPN BJT (Bipolar Junction Transistors)에 대해 내가 아는 것입니다. 베이스-이미 터 전류는 콜렉터-이미 터에서 HFE 시간으로 증폭되어 Ice = Ibe * HFE VbeBase-Emitter 사이의 전압이며 다른 다이오드와 마찬가지로 일반적으로 약 0,65V입니다. Vec그래도 기억이 나지 않습니다 . 경우 Vbe최소 임계 값보다 낮은, 그 트랜지스터는 열려 있지 그 접촉 부분을 통해 …

5
CPLD 또는 FPGA의 회로도 설계보다 Verilog 또는 VHDL을 선택하게 만드는 이유는 무엇입니까?
프로그래밍 가능한 로직에 대한 배경 지식이 전혀 없으며 프로젝트에서 주로 마이크로 컨트롤러를 사용하지만 최근에는 비디오 작업이 필요했고 마이크로 컨트롤러가 너무 느려서 CPLD로 게임을 시작했습니다. CPLD 만 회로도 설계를 사용하여 좋은 결과를 얻을 수 있었지만 CPLD에 대한 정보를 검색 할 때 VHDL과 Verilog를 사용하여 많은 예제를 보았습니다. 이 언어 중 하나로 …


4
FPGA 펌웨어 디자인 : 너무 큰가?
matlab에서 VHDL로 포팅 해야하는 특히 큰 신호 처리 변환이 있습니다. 확실히 어떤 종류의 리소스 공유가 필요합니다. 약간의 계산으로 다음을 얻었습니다. 64 포인트 512 fft 41210 곱하기 추가 연산 가장 큰 Virtex 6 FPGA에는 ~ 2000 DSP48E 블록이 있다고 생각하면 리소스를 여러 번 재사용하기 위해 리소스를 공유 할 수 있다는 것을 …
13 fpga  vhdl  xilinx 

7
저렴한 FPGA 개발 보드 [폐쇄]
닫은. 이 질문은 주제에 맞지 않습니다 . 현재 답변을받지 않습니다. 이 질문을 개선하고 싶습니까? 전기 엔지니어링 스택 교환에 대한 주제가 되도록 질문을 업데이트하십시오 . 휴일 3 년 전 . FPGA로 시작하고 싶지만 이전에는 한 번도 작업 한 적이 없습니다. 싸구려 키트를 원하지만 어디서부터 시작해야할지 모르겠습니다. 저렴한 보드를 찾을 수는 있지만 …
12 fpga  vhdl  jtag 

6
FPGA에 대한 책 추천 [닫기]
닫은. 이 질문은 주제에 맞지 않습니다 . 현재 답변을받지 않습니다. 이 질문을 개선하고 싶습니까? 전기 엔지니어링 스택 교환에 대한 주제가 되도록 질문을 업데이트하십시오 . 휴일 2 년 전 . FPGA와 VHDL을 시작하기 위해 어떤 책 제목을 추천 하시겠습니까? 편집 추천 도서 중 일부가 1996 년부터 거슬러 올라가는 것을 발견했습니다. 언급 …
12 fpga  books  vhdl 

1
ModelSIM에서 적색 신호를 어떻게 디버깅합니까?
조합 부분에는 NAND 게이트 만 사용하고 순차 논리에는 D 플립 플롭을 사용하여 상태 머신을 설계해야합니다. 모든 것은 1ghz / 53의 시계에서 실행되어야합니다. "우리는 당신을 위해 숙제를하지 않겠다"고 저를 공격하기 전에, 며칠간의 일을 투자 한 후 모든 것을 폐기하고 모든 것을 다시 엄격하게 시작했다고 말씀 드리겠습니다. 나는 이것을 스스로하고 싶지만 프로젝트의 …

2
VHDL에서 "무관심"신호를 지정하려면 어떻게해야합니까?
Logic Design 과정에서는 Karnaugh 맵 또는 Quine–McCluskey 알고리즘 을 사용하여 로직 기능을 최소화 할 수 있다는 것을 모두 배웠습니다 . 또한 "Do n't Care" 값이 최소화 가능성을 증가 시킨다는 것도 알게되었습니다 . 예를 들어 레지스터 파일을 가져옵니다. write_address및 write_data때 신호는별로 중요하지 않습니다 write_enable신호입니다 '0'. 따라서 이들 신호를 구동 하는 로직 …

3
가장 많은 리소스와 영역을 사용하는 FPGA 디자인 영역을 식별하는 방법은 무엇입니까?
저는 큰 FPGA 디자인을 연구하고 있으며 현재 사용중인 FPGA의 리소스 한계 인 CSG225 패키지의 Xilinx LX16에 매우 가깝습니다. 디자인도 거의 완료되었지만 현재는 FPGA에 더 이상 맞지 않습니다. 적합하도록 부품을 끌 수 있지만 설계를 완료하고 타이밍 및 크기 요구 사항을 충족 시키려면 리소스 사용량을 줄여야합니다. 내 디자인에서 가장 많은 리소스를 소비하는 …

2
VHDL에서 If-else와 Case 문의 차이점
VHDL 코드의 다른 구문이 RTL에서 어떻게 합성되는지 이해하고 싶습니다. 누구든지 VHDL 프로세스의 If-Else 구문과 Case 문 구문 의 차이점을 합성 툴로 코드가 RTL 회로로 추론하는 방법에 대해 말할 수 있습니까 ? 여러 중첩 된 if-else 및 case- statees 를 혼합하여 if-else 구문을 프로세스 내부에 사용하는 경우를 고려하십시오 . 또한 언제 …
11 vhdl  code-design  rtl 

12
요즘 VHDL을 사용하십니까?
저는 전기 공학 학생이며 VHDL이라는 하드웨어 설명 언어를 공부하고 있습니다. IDE에서 Mac을 찾고있는 Google에서 검색했지만이 언어는 꽤 죽은 것 같습니다. 제 질문은 다음과 같습니다. 미래의 전기 엔지니어로서 VHDL이 유용할까요? 당신은 그것을 사용하고 있습니까? 업데이트 : 답변을 주셔서 감사합니다. 첫 인상에 분명히 틀 렸습니다.
11 vhdl 

4
VECTOR 표현과 INTEGER를 사용하는 것이 언제 더 좋을까요?
이 질문에 대한 답변에 대한 의견 스레드 에서 VHDL 엔티티의 잘못된 출력 은 다음과 같습니다. "정수를 사용하면 FPGA의 내부 논리 표현에 대한 제어 또는 액세스 권한이 없지만 SLV를 통해 캐리 체인을 효율적으로 활용하는 것과 같은 트릭을 수행 할 수 있습니다." 그렇다면 어떤 상황 에서 내부 표현에 액세스하기 위해 정수를 사용하는 …
11 fpga  vhdl 

당사 사이트를 사용함과 동시에 당사의 쿠키 정책개인정보 보호정책을 읽고 이해하였음을 인정하는 것으로 간주합니다.
Licensed under cc by-sa 3.0 with attribution required.